EECE 252 : Lab 3 Blackjack.pdf

71 views5 pages
5 Dec 2012
School
Course
Professor

Document Summary

Hex7 : out std_logic_vector(6 downto 0); -- digit 3, 7-segment displays. Hex6 : out std_logic_vector(6 downto 0); -- digit 2. Hex5 : out std_logic_vector(6 downto 0); -- digit 1. Hex4 : out std_logic_vector(6 downto 0); -- digit 0. Hex3 : out std_logic_vector(6 downto 0); -- digit 3, 7-segment displays. Clock_50 : in std_logic; -- a 50mhz clock. Sw : in std_logic_vector(9 downto 0); -- slide switches. Key : in std_logic_vector(3 downto 0); -- pushbutton keys. Ledr : out std_logic_vector(9 downto 0); -- red leds. Ledg : out std_logic_vector(7 downto 0); -- green leds. Hex2 : out std_logic_vector(6 downto 0); -- digit 2. Hex1 : out std_logic_vector(6 downto 0); -- digit 1. Hex0 : out std_logic_vector(6 downto 0) -- digit 0. Card : in std_logic_vector(3 downto 0); -- value of card. Seg7 : out std_logic_vector(6 downto 0) -- 7-seg led pattern. Hand : in std_logic_vector(15 downto 0); -- four cards, 4 bits each.

Get access

Grade+20% off
$8 USD/m$10 USD/m
Billed $96 USD annually
Grade+
Homework Help
Study Guides
Textbook Solutions
Class Notes
Textbook Notes
Booster Class
40 Verified Answers