BIOL 261 Lecture Notes - Lecture 8: World Federation Of Trade Unions, T-Tail, Intron

149 views3 pages

Document Summary

The code: see figure 9-5, pg 318 in the text book. The code involves a three letter non-overlapping block of information called a codon. Each codon codes for one amino acid (or a termination signal). The mrna is read by consecutive codons of three nucleotides each with no gaps. Protein synthesis proceeds in a stepwise fashion, by a translation complex composed of the large and small ribosomal subunits with a variety of other protein factors. Translation proceeds in a 5" to 3" direction on the mrna, the protein is synthesized from the amino terminus to the carboxyl terminus. Translation starts at an aug codon (it codes for methionine), stop is signaled by. In dna these are atg, for start, tag, taa, tga for stops. ) The step-wise synthesis of proteins by ribosomes has three distinct stages 1) initiation: elongation, and 3) termination we discuss this in detail only for prokaryotes, initiation.

Get access

Grade+20% off
$8 USD/m$10 USD/m
Billed $96 USD annually
Grade+
Homework Help
Study Guides
Textbook Solutions
Class Notes
Textbook Notes
Booster Class
40 Verified Answers
Class+
$8 USD/m
Billed $96 USD annually
Class+
Homework Help
Study Guides
Textbook Solutions
Class Notes
Textbook Notes
Booster Class
30 Verified Answers

Related Documents

Related Questions